Home

RASIRC

Enabling next generation semiconductor processes.

Water Vapor

Particle-free water vapor for oxidation applications. Get precision control without water droplets using DI water.

Ultra-Pure Hydrogen Peroxide

Water-free or humidified hydrogen peroxide gas. Hydrogen peroxide gas is ideal for surface functionalization, passivation, area selective deposition, multi-patterning ALD, gap fill and more.

Ultra-Dry Hydrazine

Pure, dry, and safer hydrazine gas. Great for low temperature nitride ALD. Enables low resistivity without oxygen contamination.

RASIRC enables new process innovation with specialty gas generation

RASIRC products convert low vapor-pressure liquid chemistries into safer, reliable gases. These highly reactive chemistries generate thin oxide and nitride films at reduced temperatures for complex 3D structures in advanced microprocessors, memory, and III-V nitride devices.

BRUTE® Hydrazine

BRUTE Hydrazine converts liquid hydrazine into pure, dry, and safer hydrazine gas. BRUTE Hydrazine is highly effective source for growth in low temperature nitride ALD and MOCVD. Films include titanium nitride, silicon nitride and aluminum nitride.  BRUTE Hydrazine generates ultra-thin films with low resistivity and minimal oxygen contamination.  

BRUTE® Peroxide

BRUTE Peroxide can be used for surface functionalization, surface passivation and area selective deposition. BRUTE Peroxide delivers a dense hydroxylated surface without oxidizing the sub-surface. Think Brute Peroxide instead of water, ozone, or oxygen plasma.

Peroxidizer®

The Peroxidizer converts liquid hydrogen peroxide into hydrogen peroxide gas. This gas has a myriad of applications for next generation devices. The Peroxidizer can be used for gapfill cure, anneal, PR/ARC pre-treatment and more. The Peroxidizer generates high flows of H2O2 gas at low temperature for HVM applications.

RainMaker® Humidification System (RHS)

The RainMaker Humidification System (RHS) converts water into particle-free water vapor. This water vapor can then be used for oxidation or annealing. The RainMaker Humidification System (RHS) can be used in a wide variety of oxidation applications where up time and repeatability are critical.

Latest News

Record Low Resistivity Titanium Nitride Film Fabricated by Thermal ALD – Ultra-dry hydrazine enables growth at low temperature

PUBLISHED JANUARY 18, 2022

Low resistivity is an indicator of a high-quality film that works well as a barrier layer or conductor. TiN thin films are used as diffusion barriers for cobalt (Co) and tungsten (W) metal layers as well as gate metal in CMOS devices due to their high electrical
conductivity and related low resistivity.

READ THE REST

The Emergence of Hydrazine (N2H4) in Semiconductor Applications

PUBLISHED JANUARY, 2022

Historically, metal-nitride MOCVD and ALD ALD films have used Ammonia (NH3) for fabrication of advanced semiconductor devices. However, lower thermal budgets and shrinking 3-dimensional structures needed in next generation devices have exposed limitations with ammonia usage in semiconductor processing. However, these studies are far from comprehensive due to the vast number of possible metal nitrides along with a countless number of potentially useful metal precursor materials.

READ THE REST

Technical White Paper – ALD Nitride Wizard

PUBLISHED JANUARY, 2022

In recent years production worthy, ultra-dry gas-phase Brute© hydrazine (N2H4) has been developed and made available by Rasirc. Prior to this work, attempts to deliver hydrazine gas were technically challenged due to moisture and safety issues which caused many studies to produce inconsistent results with oxygen incorporation into films.1-3 Therefore, little was actually known about low moisture gas phase N2H4reactivity in ALD and other potential nitridation applications.

READ THE REST

AVS ALD 2021- See multiple presentations from UCSD, UTD, and TNSC on H2O2 and Hydrazine

JUNE 27-30, 2021

RASIRC is contributing at the AVS ALD2021 Virtual conference. The results summarize the latest development using either hydrogen peroxide (H2O2) or hydrazine (N2H4) as powerful co-reactants in atomic layer processing of high-quality films with higher overall performance beyond that of classical thermal or plasma ALD processes.

Low Temperature Crystalline AlN ALD with Hydrazine

PUBLISHED JULY 2020

Professor Andrew C. Kummel (UCSD) presents findings

WATCH THE VIDEO

RASIRC Granted Taiwan Patent for Hydrogen Peroxide and Hydrazine Gas Delivery

PUBLISHED ON APRIL 24, 2020

The Commissioner of the Intellectual Property Office, Ministry of Economic Affairs, Republic of China has granted patent I683923 for a method and device for delivery of process gas. RASIRC uses the patent in its products including BRUTE® Hydrazine and BRUTE Peroxide, which deliver reactive gases for micro-electronics applications and other critical processes. Process gas can be precisely and safely delivered by adjusting operating conditions such as temperature, pressure, and solution concentration.

READ THE REST

RASIRC products generate and deliver water vapor, hydrogen peroxide and hydrazine gas to enable critical processes.

Contact

info@rasirc.com
858.259.1220

7815 Silverton Avenue
San Diego, CA 92126
USA

Social Profiles

© Copyright 2023 RASIRC – All Rights Reserved – Privacy Statement