News

RASIRC

News

Keeping current on new developments, events and directions

Silicon Nitride ALD Process Using High Purity Hydrazine for Low Temperature Deposition

Bellevue, Washington – July 23rd to 26th, 2023 – Leading experts in the field of atomic layer deposition (ALD) are gathering in Bellevue, Washington for the AVS 23rd International Conference on Atomic Layer Deposition (ALD 2023). Among the cutting-edge research being presented at the conference, is the poster titled “Silicon Nitride ALD Process Using High Purity Hydrazine for Low Temperature Deposition”.

PUBLISHED JULY 17, 2023
3 MINUTE READ

Direct comparison with ammonia, shows superiority of Brute® Hydrazine for growth rate, wet etch rate and index of refraction

Study Shows Improved Yield of Hafnium Oxides Devices with Hydrogen Peroxide Gas

RASIRC in collaboration with University of California, San Diego (UCSD) will publish data that hydrogen peroxide (H2O2) gas is a better oxidant than water vapor for ALD growth of Hafnium Oxide films. This study is one of four technical presentations exploring RASIRC technology scheduled for the upcoming ALD 2022, the 22nd International Conference on Atomic Layer Deposition, held June 26-29, 2022 in Ghent, Belgium.

PUBLISHED JUNE 23, 2022
3 MINUTE READ

Hydrogen Peroxide Gas Plasma Enables Extremely Dense Hydroxyl Surface

RASIRC announced results from a recent study that shows a stable plasma can be made with hydrogen peroxide gas, enabling an extremely dense hydroxyl surface during semiconductor fabrication. The resulting improved wetting angle on HF last silicon was superior to oxygen or water alone. Smaller wetting angle corresponds to increased hydrophilicity and increased hydroxyl density. Having more hydroxyls at the surface improves the interface layer, leading to more organized and cleaner atomic layer films.

PUBLISHED MAY 26, 2022
3 MINUTE READ

RASIRC to Present Data of HZO Films Grown with Hydrogen Peroxide Gas

RASIRC announced that it will be presenting “Hydrogen Peroxide Gas: From R&D to HVM” at the 7th Annual CMC Conference. The presentation will discuss the development of two technologies for hydrogen peroxide gas delivery that have crossed the chasm to high volume manufacturing.

“New oxidants are needed to address shrinking device size and continuously increasing three dimensional structures for NAND and DRAM,” said RASIRC Founder and CEO Jeffrey Spiegelman. “These oxidants must overcome line of sight limitations of plasma, temperature limits of water and oxygen, and film damage associated with Ozone.”

PUBLISHED APRIL 20, 2022
3 MINUTE READ

RASIRC Study Shows Hydrogen Plasma Damage Minimized by Hydrogen Peroxide Gas

A recent study by RASIRC shows that H2O2 doping during hydrogen plasma exposure substantially protected oxide layers while metal or organic layers were processed. This finding supports the use of hydrogen plasma and hydrogen peroxide gas in area selective deposition applications that otherwise risked oxide layer damage. This could reduce step count, cleaning, and process variables. Results of the study will be presented at ASD 2022 in San Francisco.

PUBLISHED APRIL 13, 2022
3 MINUTE READ

RASIRC Nitride Wizard Models Precursor Reactivity with Ammonia and Hydrazine

RASIRC today announced the immediate availability of its new ALD Nitride Wizard™ for rapid precursor candidate selection. Available through the RASIRC website, this free tool models reaction energetics for a wide range of precursors with NH3 and N2H4. For the first time, researchers can quickly screen molecular reactivity without setting up experiments in the lab, which results in a short list of precursors for actual experimentation.

PUBLISHED MARCH 30, 2022
3 MINUTE READ

Aluminum nitride (AlN) thin films were grown using thermal atomic layer deposition in the temperature range of 175–350 C. The thin films were deposited using trimethyl aluminum (TMA) and hydrazine (N2H4) as a metal precursor and nitrogen source, respectively. Highly reactive N2H4, compared to its conventionally used counterpart, ammonia (NH3), provides a higher growth per cycle (GPC), which is approximately 2.3 times higher at a deposition temperature of 300 C and, also exhibits a low impurity concentration in as-deposited films. Low temperature AlN films deposited at 225 C with a capping layer had an Al to N composition ratio of 1:1.1, a close to ideal composition ratio, with a low oxygen content (7.5%) while exhibiting a GPC of 0.16 nm/cycle. We suggest that N2H4 as a replacement for NH3 is a good alternative due to its stringent thermal budget.

RASIRC Study Shows Nitride Film Growth at 225°C with Hydrazine

RASIRC research shows that aluminum nitride can be grown thermally at 225°C without detrimental oxygen incorporation. The resulting paper is one of the first published demonstrating this ability, which will be useful for memory and logic devices as well as future semiconductor manufacturing.

PUBLISHED MARCH 15, 2022
3 MINUTE READ

China Grants Patent to RASIRC for BRUTE® Hydrazine

China has granted a patent related to the delivery of anhydrous hydrazine gas to process. This patent is part of family of patents around hydrazine, water, and hydrogen peroxide to enable safer and low temperature processing of next generation devices for microelectronic applications.

PUBLISHED MARCH 3, 2022
3 MINUTE READ

RASIRC Granted Patent for Controlled Delivery of Hydrogen Peroxide Gas

A novel method for generation of H2O2 gas enables more accurate and repeatable delivery of hydrogen peroxide gas into a wide range of flow rates, operating pressures, and temperatures.

PUBLISHED FEBRUARY 23, 2022
3 MINUTE READ

RASIRC Survey of Hydrazine (N2H4) in Semiconductor Applications Shows Growing Activity in Thin Films at Low Temperature

RASIRC today published a survey of semiconductor and related applications that use hydrazine gas.  The growing interest in hydrazine is driven by a need for new metals and metal nitride films that meet lower thermal budgets and shrinking 3-dimensional structures.  A new formulation of hydrazine meets these requirements.

PUBLISHED FEBRUARY 22, 2022
3 MINUTE READ

Record Low Resistivity Titanium Nitride Film Fabricated by Thermal ALD – Ultra-dry hydrazine enables growth at low temperature

An IITC paper authored by researchers from UCSD, Samsung, and RASIRC demonstrated record low resistivities for low temperature titanium nitride (TiN) films grown by thermal ALD process. Films yielded resistivities below 200 µohm-cm.

PUBLISHED JANUARY 18, 2022
3 MINUTE READ

RASIRC Granted Taiwan Patent for Method and Systems for Purifying Hydrogen Peroxide Solutions

The Commissioner of the Intellectual Property Office, Ministry of Economic Affairs, Republic of China has granted patent I703087 for Method and Systems for Purifying Hydrogen Peroxide Solutions. The patent is applicable to all hydrogen peroxide products including BRUTE® Peroxide and The Peroxidizer®.

PUBLISHED DECEMBER 17, 2020
2 MINUTE READ

Low Resistivity Titanium Nitride Thin Films ALD realized by RASIRC BRUTE® Hydrazine vaporization technology

Cheng-Hsuan Kuo and co-workers at UC San Diego in the Kummel research group, has recently concluded a study on TiN ALD utilizing the RASIRC BRUTE® Hydrazine (N2H4) vaporizer technology, which is presented this week at IEEE SISC December 16-18.

PUBLISHED DECEMBER 17, 2020
4 MINUTE READ

RASIRC Granted Taiwan Patent for Hydrogen Peroxide and Hydrazine Gas Delivery

The Commissioner of the Intellectual Property Office, Ministry of Economic Affairs, Republic of China has granted patent I683923 for a method and device for delivery of process gas. RASIRC uses the patent in its products including BRUTE® Hydrazine and BRUTE Peroxide, which deliver reactive gases for micro-electronics applications and other critical processes. Process gas can be precisely and safely delivered by adjusting operating conditions such as temperature, pressure, and solution concentration.

PUBLISHED APRIL 24, 2020
3 MINUTE READ

RASIRC Presents Comparative Study of TiO2 and Al2O3 Film Growth and Characteristics Spacer and Hardmask ALD with hydrogen peroxide as oxidant shown superior to ozone, water

RASIRC Chief Technology Officer Dr. Daniel Alvarez, Jr. will present at the upcoming SPIE Advanced Lithography conference Advances in Patterning Materials and Processes XXXVII held in San Jose, California on February 23-27, 2020. The presentation “Sacrificial hardmask ALD with hydrogen peroxide: comparative study of low temperature growth and film characteristics for TiO2 and Al2O3,” is part of Session 7: Deposition-based Patterning scheduled February 26 at 9:00 AM in Grand Ballroom 220C of the San Jose Convention Center.

PUBLISHED FEBRUARY 23, 2020
3 MINUTE READ

RASIRC Optimizes Precursor Mass Delivery Utilizing a Novel Thermal Sensor: President discusses key factors for improved control of atomic scale processing

RASIRC will showcase a recent study focusing on precursor optimization using a novel hydrogen peroxide mass flow sensor at the AVS 66th International Symposium & Exhibition, to be held October 20 through October 25, 2019 in Columbus, Ohio. RASIRC will also be an exhibitor during the conference.

PUBLISHED OCTOBER 20, 2019
5 MINUTE READ

RASIRC Demonstrates Hydrogen Peroxide/Water Results in Highest Quality Growth and Film Characteristics for Metal-Oxide Dielectric ALD: Company shares comparative study results for ALD precursors at 236th ECS Meeting

RASIRC will present a metal-oxide dielectric ALD comparative study that examines growth and film characteristics for H2O2/H2O mixtures, H2O and ozone at ECS Meeting held in Atlanta, Georgia October 13-17. The presentation will review common precursor chemistries and discuss collaborative research on oxidants, specifically hydrogen peroxide reactivity.

PUBLISHED OCTOBER 13, 2019
4 MINUTE READ

In Situ Cu Surface Cleaning with Anhydrous Hydrazine highlighted at AVS ALD 2019 by University of Texas at Dallas and RASIRC

Inspired by hydrazine’s unique characteristics, University of Texas at Dallas and RASIRC have explored the feasibility of vapor-phase reduction of copper oxide using anhydrous N2H4 to achieve an ideal metallic Cu film in an ALD environment.

PUBLISHED JULY 23, 2019
7 MINUTE READ

RASIRC Showcases Ultimate Oxidant and Nitride Precursors at Annual ALD Conference

PUBLISHED JULY 22, 2019
4 MINUTE READ

RASIRC Utilizes Novel Safe Hydrazine Delivery System to Enable Low Temperature Group III Metal-Nitride Deposition

PUBLISHED JULY 8, 2019
2 MINUTE READ

RASIRC Demonstrates Superior Titanium Dioxide Films by Use of Hydrogen Peroxide Gas

PUBLISHED JUNE 20, 2019
3 MINUTE READ

RASIRC Enables Low Temperature Group III Metal-Nitride Deposition

PUBLISHED MAY 15, 2019
3 MINUTE READ

RASIRC Releases White Paper on Minimizing BRUTE® Peroxide Decomposition

PUBLISHED APRIL 23, 2019
3 MINUTE READ

RASIRC to Highlight Precise Water Vapor Delivery in Area Selective Deposition

PUBLISHED MARCH 29, 2019
3 MINUTE READ

RASIRC Presents Process Control ‘Ins and Outs’ for Optimized Mass Delivery

PUBLISHED MARCH 14, 2019
3 MINUTE READ

Effective Silicon and Metal Nitride Deposition at Reduced Temperature

PUBLISHED NOV 2018
8 MINUTE READ

RASIRC Presents New Oxidant Options for Low Temperature Dielectric ALD: CTO shares studies of film growth and characteristics using novel hydrogen peroxide oxidizing agent

PUBLISHED OCTOBER 18, 2018
4 MINUTE READ

RASIRC Presents Results of Silicon Nitride Deposition Study at AiMES Conference

PUBLISHED SEPTEMBER 30, 2018
2 MINUTE READ

RASIRC Presents Low Temperature Silicon Nitride ALD at Annual ALD Conference: Chief Technology Officer Moderates ALD Fundamental Process and Precursor Session

RASIRC will present the latest research findings on both hydrogen peroxide gas and hydrazine gas at the annual ALD Conference.

PUBLISHED JULY 27, 2018
2 MINUTE READ

RASIRC low temperature ALD of silicon and metal nitrides

RASIRC in collaboration with The University of Texas, Dallas has recently developed a low temperature thermal ALD process using the standard silicon precursor in CVD, HCDS and their new hydrazine formulation for a liquid source anhydrous hydrazine in a proprietary solvent.

PUBLISHED JULY 27, 2018
6 MINUTE READ

RASIRC to Present Anhydrous Hydrogen Peroxide Surface Preparation and Enhanced Nucleation for ASD at ASD2018

RASIRC and their collaborative network of leading scientists and customers around the world have in recent years conducted exciting work with anhydrous hydrogen peroxide.

PUBLISHED APRIL 24, 2018
5 MINUTE READ

RASIRC Presents Alternative Method for Aperture Oxidation in VCSELs

RASIRC announced today that the company will present at VCSEL Day 2018, held April 12-13, 2018 in Ulm, Germany.

PUBLISHED APRIL 11, 2018
2 MINUTE READ

RASIRC Turns Thermal Mass Flow Measurement of Dilute Reactive Gas Species Inside Out: Company features new thermal mass gas sensor at ALD for Industry 2018

The presentation will discuss the importance of precursor gas flow measurement independent of carrier gas flow and will provide experimental performance data with hydrogen peroxide gas.

PUBLISHED MARCH 19, 2018
5 MINUTE READ

RASIRC to present New ALD chemistries for low temperature oxide and nitride films at ALD4Industry

We are very happy to announce that have received a late news abstract from RASIRC out of San Diego, USA, for a presentation to be given at the EFDS Workshop “ALD for Industry” entitled “New ALD chemistries for low temperature oxide and nitride films”. RASIRC is also sponsoring the workshop and will have a stand the the exhibition.

PUBLISHED JANUARY 4, 2017
6 MINUTE READ

Grow Low Temperature Nitrides by Atomic Layer Deposition Without Plasma

Unique Hydrazine formulation and package enables HAR and 3D film studies for Laboratories.

PUBLISHED DECEMBER 14, 2016
6 MINUTE READ

RASIRC BRUTE peroxide and hydrazine technology for leading edge memory and high performance logic

Hydrogen peroxide (H2O2) gas is an oxidant that improves passivation and nucleation density at semiconductor interfaces, potentially leading to reduced interfacial defect density.

PUBLISHED SEPTEMBER 26, 2016
6 MINUTE READ

Hydrogen Peroxide Gas Delivery for ALD, Annealing, and Surface Cleaning in Semiconductor Processing

Hydrogen Peroxide Gas (HPG) is a powerful and versatile oxidant for processing new materials and 3D structures. HPG is now available in stable, high concentration and offers significant benefits to ALD, annealing and cleaning applications.

PUBLISHED JUNE 22, 2016
3 MINUTE READ

Rocket Fuel may Propel Moore’s Law

Jonas Sundqvist, co-chair of the CMC 2016, while working with Fraunhofer (fraunhofer.de) showed that hydrazine and it’s derivatives provide unique advantages as a nitrogen source in thermal atomic-layer deposition (ALD).

PUBLISHED APRIL 6, 2016
3 MINUTE READ

MATHESON Acquires Majority Share of RASIRC

RASIRC to continue developing products that purify and deliver ultra pure chemistries.

PUBLISHED AUGUST 28, 2012
2 MINUTE READ

Low Resistivity Halogen-Free TiN Films Grown with Hydrazine at Low Temperature

RASIRC announced new UCSD research shows that TiN film grown by low temperature ALD can yield resistivity below 220 uΩ-cm with a non-halogenated precursor on a variety of surfaces. Low resistivity is an indicator of high-quality metal film that works well as a barrier layer or conductor. For high aspect ratio features and horizontal vias, thermal ALD is needed to enable the conformality of the deposition process. Results will be presented at the upcoming International Interconnect Technology Conference (IITC) by the Kummel group.

PUBLISHED JUNE 23, 2022
3 MINUTE READ

Study Shows Improved Yield of Hafnium Oxides Devices with Hydrogen Peroxide Gas

RASIRC in collaboration with University of California, San Diego (UCSD) will publish data that hydrogen peroxide (H2O2) gas is a better oxidant than water vapor for ALD growth of Hafnium Oxide films. This study is one of four technical presentations exploring RASIRC technology scheduled for the upcoming ALD 2022, the 22nd International Conference on Atomic Layer Deposition, held June 26-29, 2022 in Ghent, Belgium.

PUBLISHED JUNE 23, 2022
3 MINUTE READ

Hydrogen Peroxide Gas Plasma Enables Extremely Dense Hydroxyl Surface

RASIRC announced results from a recent study that shows a stable plasma can be made with hydrogen peroxide gas, enabling an extremely dense hydroxyl surface during semiconductor fabrication. The resulting improved wetting angle on HF last silicon was superior to oxygen or water alone. Smaller wetting angle corresponds to increased hydrophilicity and increased hydroxyl density. Having more hydroxyls at the surface improves the interface layer, leading to more organized and cleaner atomic layer films.

PUBLISHED MAY 26, 2022
3 MINUTE READ

RASIRC to Present Data of HZO Films Grown with Hydrogen Peroxide Gas

RASIRC announced that it will be presenting “Hydrogen Peroxide Gas: From R&D to HVM” at the 7th Annual CMC Conference. The presentation will discuss the development of two technologies for hydrogen peroxide gas delivery that have crossed the chasm to high volume manufacturing.

“New oxidants are needed to address shrinking device size and continuously increasing three dimensional structures for NAND and DRAM,” said RASIRC Founder and CEO Jeffrey Spiegelman. “These oxidants must overcome line of sight limitations of plasma, temperature limits of water and oxygen, and film damage associated with Ozone.”

PUBLISHED APRIL 20, 2022
3 MINUTE READ

RASIRC Study Shows Hydrogen Plasma Damage Minimized by Hydrogen Peroxide Gas

A recent study by RASIRC shows that H2O2 doping during hydrogen plasma exposure substantially protected oxide layers while metal or organic layers were processed. This finding supports the use of hydrogen plasma and hydrogen peroxide gas in area selective deposition applications that otherwise risked oxide layer damage. This could reduce step count, cleaning, and process variables. Results of the study will be presented at ASD 2022 in San Francisco.

PUBLISHED APRIL 13, 2022
3 MINUTE READ

RASIRC Nitride Wizard Models Precursor Reactivity with Ammonia and Hydrazine

RASIRC today announced the immediate availability of its new ALD Nitride Wizard™ for rapid precursor candidate selection. Available through the RASIRC website, this free tool models reaction energetics for a wide range of precursors with NH3 and N2H4. For the first time, researchers can quickly screen molecular reactivity without setting up experiments in the lab, which results in a short list of precursors for actual experimentation.

PUBLISHED MARCH 30, 2022
3 MINUTE READ

Aluminum nitride (AlN) thin films were grown using thermal atomic layer deposition in the temperature range of 175–350 C. The thin films were deposited using trimethyl aluminum (TMA) and hydrazine (N2H4) as a metal precursor and nitrogen source, respectively. Highly reactive N2H4, compared to its conventionally used counterpart, ammonia (NH3), provides a higher growth per cycle (GPC), which is approximately 2.3 times higher at a deposition temperature of 300 C and, also exhibits a low impurity concentration in as-deposited films. Low temperature AlN films deposited at 225 C with a capping layer had an Al to N composition ratio of 1:1.1, a close to ideal composition ratio, with a low oxygen content (7.5%) while exhibiting a GPC of 0.16 nm/cycle. We suggest that N2H4 as a replacement for NH3 is a good alternative due to its stringent thermal budget.

RASIRC Study Shows Nitride Film Growth at 225°C with Hydrazine

RASIRC research shows that aluminum nitride can be grown thermally at 225°C without detrimental oxygen incorporation. The resulting paper is one of the first published demonstrating this ability, which will be useful for memory and logic devices as well as future semiconductor manufacturing.

PUBLISHED MARCH 15, 2022
3 MINUTE READ

China Grants Patent to RASIRC for BRUTE® Hydrazine

China has granted a patent related to the delivery of anhydrous hydrazine gas to process. This patent is part of family of patents around hydrazine, water, and hydrogen peroxide to enable safer and low temperature processing of next generation devices for microelectronic applications.

PUBLISHED MARCH 3, 2022
3 MINUTE READ

RASIRC Granted Patent for Controlled Delivery of Hydrogen Peroxide Gas

A novel method for generation of H2O2 gas enables more accurate and repeatable delivery of hydrogen peroxide gas into a wide range of flow rates, operating pressures, and temperatures.

PUBLISHED FEBRUARY 23, 2022
3 MINUTE READ

RASIRC Survey of Hydrazine (N2H4) in Semiconductor Applications Shows Growing Activity in Thin Films at Low Temperature

RASIRC today published a survey of semiconductor and related applications that use hydrazine gas.  The growing interest in hydrazine is driven by a need for new metals and metal nitride films that meet lower thermal budgets and shrinking 3-dimensional structures.  A new formulation of hydrazine meets these requirements.

PUBLISHED FEBRUARY 22, 2022
3 MINUTE READ

Record Low Resistivity Titanium Nitride Film Fabricated by Thermal ALD – Ultra-dry hydrazine enables growth at low temperature

An IITC paper authored by researchers from UCSD, Samsung, and RASIRC demonstrated record low resistivities for low temperature titanium nitride (TiN) films grown by thermal ALD process. Films yielded resistivities below 200 µohm-cm.

PUBLISHED JANUARY 18, 2022
3 MINUTE READ

RASIRC Granted Taiwan Patent for Method and Systems for Purifying Hydrogen Peroxide Solutions

The Commissioner of the Intellectual Property Office, Ministry of Economic Affairs, Republic of China has granted patent I703087 for Method and Systems for Purifying Hydrogen Peroxide Solutions. The patent is applicable to all hydrogen peroxide products including BRUTE® Peroxide and The Peroxidizer®.

PUBLISHED DECEMBER 17, 2020
2 MINUTE READ

Low Resistivity Titanium Nitride Thin Films ALD realized by RASIRC BRUTE® Hydrazine vaporization technology

Cheng-Hsuan Kuo and co-workers at UC San Diego in the Kummel research group, has recently concluded a study on TiN ALD utilizing the RASIRC BRUTE® Hydrazine (N2H4) vaporizer technology, which is presented this week at IEEE SISC December 16-18.

PUBLISHED DECEMBER 17, 2020
4 MINUTE READ

RASIRC Granted Taiwan Patent for Hydrogen Peroxide and Hydrazine Gas Delivery

The Commissioner of the Intellectual Property Office, Ministry of Economic Affairs, Republic of China has granted patent I683923 for a method and device for delivery of process gas. RASIRC uses the patent in its products including BRUTE® Hydrazine and BRUTE Peroxide, which deliver reactive gases for micro-electronics applications and other critical processes. Process gas can be precisely and safely delivered by adjusting operating conditions such as temperature, pressure, and solution concentration.

PUBLISHED APRIL 24, 2020
3 MINUTE READ

RASIRC Presents Comparative Study of TiO2 and Al2O3 Film Growth and Characteristics Spacer and Hardmask ALD with hydrogen peroxide as oxidant shown superior to ozone, water

RASIRC Chief Technology Officer Dr. Daniel Alvarez, Jr. will present at the upcoming SPIE Advanced Lithography conference Advances in Patterning Materials and Processes XXXVII held in San Jose, California on February 23-27, 2020. The presentation “Sacrificial hardmask ALD with hydrogen peroxide: comparative study of low temperature growth and film characteristics for TiO2 and Al2O3,” is part of Session 7: Deposition-based Patterning scheduled February 26 at 9:00 AM in Grand Ballroom 220C of the San Jose Convention Center.

PUBLISHED FEBRUARY 23, 2020
3 MINUTE READ

RASIRC Optimizes Precursor Mass Delivery Utilizing a Novel Thermal Sensor: President discusses key factors for improved control of atomic scale processing

RASIRC will showcase a recent study focusing on precursor optimization using a novel hydrogen peroxide mass flow sensor at the AVS 66th International Symposium & Exhibition, to be held October 20 through October 25, 2019 in Columbus, Ohio. RASIRC will also be an exhibitor during the conference.

PUBLISHED OCTOBER 20, 2019
5 MINUTE READ

RASIRC Demonstrates Hydrogen Peroxide/Water Results in Highest Quality Growth and Film Characteristics for Metal-Oxide Dielectric ALD: Company shares comparative study results for ALD precursors at 236th ECS Meeting

RASIRC will present a metal-oxide dielectric ALD comparative study that examines growth and film characteristics for H2O2/H2O mixtures, H2O and ozone at ECS Meeting held in Atlanta, Georgia October 13-17. The presentation will review common precursor chemistries and discuss collaborative research on oxidants, specifically hydrogen peroxide reactivity.

PUBLISHED OCTOBER 13, 2019
4 MINUTE READ

In Situ Cu Surface Cleaning with Anhydrous Hydrazine highlighted at AVS ALD 2019 by University of Texas at Dallas and RASIRC

Inspired by hydrazine’s unique characteristics, University of Texas at Dallas and RASIRC have explored the feasibility of vapor-phase reduction of copper oxide using anhydrous N2H4 to achieve an ideal metallic Cu film in an ALD environment.

PUBLISHED JULY 23, 2019
7 MINUTE READ

RASIRC Showcases Ultimate Oxidant and Nitride Precursors at Annual ALD Conference

PUBLISHED JULY 22, 2019
4 MINUTE READ

RASIRC Utilizes Novel Safe Hydrazine Delivery System to Enable Low Temperature Group III Metal-Nitride Deposition

PUBLISHED JULY 8, 2019
2 MINUTE READ

RASIRC Demonstrates Superior Titanium Dioxide Films by Use of Hydrogen Peroxide Gas

PUBLISHED JUNE 20, 2019
3 MINUTE READ

RASIRC Enables Low Temperature Group III Metal-Nitride Deposition

PUBLISHED MAY 15, 2019
3 MINUTE READ

RASIRC Releases White Paper on Minimizing BRUTE® Peroxide Decomposition

PUBLISHED APRIL 23, 2019
3 MINUTE READ

RASIRC to Highlight Precise Water Vapor Delivery in Area Selective Deposition

PUBLISHED MARCH 29, 2019
3 MINUTE READ

RASIRC Presents Process Control ‘Ins and Outs’ for Optimized Mass Delivery

PUBLISHED MARCH 14, 2019
3 MINUTE READ

Effective Silicon and Metal Nitride Deposition at Reduced Temperature

PUBLISHED NOV 2018
8 MINUTE READ

RASIRC Presents New Oxidant Options for Low Temperature Dielectric ALD: CTO shares studies of film growth and characteristics using novel hydrogen peroxide oxidizing agent

PUBLISHED OCTOBER 18, 2018
4 MINUTE READ

RASIRC Presents Results of Silicon Nitride Deposition Study at AiMES Conference

PUBLISHED SEPTEMBER 30, 2018
2 MINUTE READ

RASIRC Presents Low Temperature Silicon Nitride ALD at Annual ALD Conference: Chief Technology Officer Moderates ALD Fundamental Process and Precursor Session

RASIRC will present the latest research findings on both hydrogen peroxide gas and hydrazine gas at the annual ALD Conference.

PUBLISHED JULY 27, 2018
2 MINUTE READ

RASIRC low temperature ALD of silicon and metal nitrides

RASIRC in collaboration with The University of Texas, Dallas has recently developed a low temperature thermal ALD process using the standard silicon precursor in CVD, HCDS and their new hydrazine formulation for a liquid source anhydrous hydrazine in a proprietary solvent.

PUBLISHED JULY 27, 2018
6 MINUTE READ

RASIRC to Present Anhydrous Hydrogen Peroxide Surface Preparation and Enhanced Nucleation for ASD at ASD2018

RASIRC and their collaborative network of leading scientists and customers around the world have in recent years conducted exciting work with anhydrous hydrogen peroxide.

PUBLISHED APRIL 24, 2018
5 MINUTE READ

RASIRC Presents Alternative Method for Aperture Oxidation in VCSELs

RASIRC announced today that the company will present at VCSEL Day 2018, held April 12-13, 2018 in Ulm, Germany.

PUBLISHED APRIL 11, 2018
2 MINUTE READ

RASIRC Turns Thermal Mass Flow Measurement of Dilute Reactive Gas Species Inside Out: Company features new thermal mass gas sensor at ALD for Industry 2018

The presentation will discuss the importance of precursor gas flow measurement independent of carrier gas flow and will provide experimental performance data with hydrogen peroxide gas.

PUBLISHED MARCH 19, 2018
5 MINUTE READ

RASIRC to present New ALD chemistries for low temperature oxide and nitride films at ALD4Industry

We are very happy to announce that have received a late news abstract from RASIRC out of San Diego, USA, for a presentation to be given at the EFDS Workshop “ALD for Industry” entitled “New ALD chemistries for low temperature oxide and nitride films”. RASIRC is also sponsoring the workshop and will have a stand the the exhibition.

PUBLISHED JANUARY 4, 2017
6 MINUTE READ

Grow Low Temperature Nitrides by Atomic Layer Deposition Without Plasma

Unique Hydrazine formulation and package enables HAR and 3D film studies for Laboratories.

PUBLISHED DECEMBER 14, 2016
6 MINUTE READ

RASIRC BRUTE peroxide and hydrazine technology for leading edge memory and high performance logic

Hydrogen peroxide (H2O2) gas is an oxidant that improves passivation and nucleation density at semiconductor interfaces, potentially leading to reduced interfacial defect density.

PUBLISHED SEPTEMBER 26, 2016
6 MINUTE READ

Hydrogen Peroxide Gas Delivery for ALD, Annealing, and Surface Cleaning in Semiconductor Processing

Hydrogen Peroxide Gas (HPG) is a powerful and versatile oxidant for processing new materials and 3D structures. HPG is now available in stable, high concentration and offers significant benefits to ALD, annealing and cleaning applications.

PUBLISHED JUNE 22, 2016
3 MINUTE READ

Rocket Fuel may Propel Moore’s Law

Jonas Sundqvist, co-chair of the CMC 2016, while working with Fraunhofer (fraunhofer.de) showed that hydrazine and it’s derivatives provide unique advantages as a nitrogen source in thermal atomic-layer deposition (ALD).

PUBLISHED APRIL 6, 2016
3 MINUTE READ

MATHESON Acquires Majority Share of RASIRC

RASIRC to continue developing products that purify and deliver ultra pure chemistries.

PUBLISHED AUGUST 28, 2012
2 MINUTE READ

RASIRC products generate and deliver water vapor, hydrogen peroxide and hydrazine gas in controlled, repeatable concentrations to critical processes.

Contact

info@rasirc.com
858.259.1220

7815 Silverton Avenue
San Diego, CA 92126
USA

Social Profiles

© Copyright 2023 RASIRC – All Rights Reserved – Privacy Statement